MATLAB DESIGN HDL CODER RELEASE NOTES User's Guide Page 338

  • Download
  • Add to my manuals
  • Print
  • Page
    / 410
  • Table of contents
  • TROUBLESHOOTING
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 337
338 www.xilinx.com System Generator for DSP User Guide
UG640 (v 12.2) July 23, 2010
Chapter 4: Importing HDL Modules
Black Box Examples
Importing a Xilinx Core Generator Module
This topic describes two different ways of importing Xilinx CORE Generator™ modules,
as black boxes, into System Generator. The first example shows how to import blocks
which satisfy Black Box HDL Requirements and Restrictions. The second example shows
Black Box Tutorial Example 1:
Importing a Core Generator
Module that Satisfies Black Box
HDL Requirements
Describes an approach that uses the System Generator
Black Box Configuration Wizard.
Black Box Tutorial Example 2:
Importing a Core Generator
Module that Needs a VHDL
Wrapper to Satisfy Black Box
HDL Requirements
Describes an approach that requires that you to
provide a VHDL core wrapper. Simulation issues are
also addressed.
Black Box Tutorial Example 3:
Importing a VHDL Module
Describes how to use the Black Box block to import
VHDL into a System Generator design and how to use
ModelSim to co-simulate.
Black Box Tutorial Example 4:
Importing a Verilog Module
Demonstrates how Verilog black boxes can be used in
System Generator and co-simulated using ModelSim.
Black Box Tutorial Example 5:
Dynamic Black Boxes
Demonstrates dynamic black boxes using a transpose
FIR filter black box that dynamically adjusts to
changes in the widths of its inputs.
Black Box Tutorial Example 6:
Simulating Several Black Boxes
Simultaneously
Demonstrates how several System Generator Black
Box Blocks can be co-simulated simultaneously, using
only one ModelSim license while doing so.
Black Box Tutorial Exercise 7:
Advanced Black Box Example
Using ModelSim
Describes how to design a Black Box block with a
dynamic port interface and how to configure a black
box using mask parameters. Also, describes how to
assign generic values based on input port data types
and how to save black box blocks in Simulink libraries
for later reuse. How to specify custom scripts for
ModelSim HDL co-simulation is also covered.
Black Box Tutorial Example 8:
Importing, Simulating, and
Exporting an Encrypted VHDL
File
Describes how to import an encrypted VHDL file into
a Black Box, simulate the design, then export the
encrypted VHDL file separately from the rest of the
design netlist.
Black Box Tutorial Exercise 9:
Prompting a User for Parameters
in a Simulink Model and Passing
Them to a Black Box
Describes how to access generics/parameters from
the masked counter and pass them onto the black box
to override the default local parameters in the VHDL
file.
Page view 337
1 2 ... 333 334 335 336 337 338 339 340 341 342 343 ... 409 410

Comments to this Manuals

No comments