MATLAB DESIGN HDL CODER RELEASE NOTES User's Guide Page 335

  • Download
  • Add to my manuals
  • Print
  • Page
    / 410
  • Table of contents
  • TROUBLESHOOTING
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews
Page view 334
System Generator for DSP User Guide www.xilinx.com 335
UG640 (v 12.2) July 23, 2010
HDL Co-Simulation
HDL Co-Simulation
Introduction
This topic describes how a mixed language/mixed flow design that includes Xilinx blocks,
HDL modules, and a Simulink block diagram can be simulated in its entirety.
System Generator simulates black boxes by automatically launching an HDL simulator,
generating additional HDL as needed (analogous to an HDL testbench), compiling HDL,
scheduling simulation events, and handling the exchange of data between the Simulink
and the HDL simulator. This is called HDL co-simulation.
Configuring the HDL Simulator
Black box HDL can be co-simulated with Simulink using the System Generator interface to
either ISE® Simulator or the ModelSim simulation software from Model Technology, Inc.
ISE Simulator
To use the ISE® Simulator for co-simulating the HDL associated with the black box, select
ISE Simulator as the option for the Simulation mode parameter on the black box as shown
in the following figure. The model is then ready to be simulated and the HDL co-
simulation takes place automatically.
setConstant() Makes this port constant
setGatewayFileName(filename) Sets the dat file name that will be used in simulations
and test-bench generation for this port. This function
is only meant for use with bi-directional ports so that
a hand written data file can be used during
simulation. Setting this parameter for input or
output ports is invalid and will be ignored.
setRate(rate) Assigns the rate for this port. rate must be a positive
integer expressed as a MATLAB double or Inf for
constants.
useHDLVector(s) Tells whether a 1-bit port is represented as single-bit
(ex: std_logic) or vector (ex: std_logic_vector(0
downto 0)).
HDLTypeIsVector() Sets representation of the 1-bit port to
std_logic_vector(0 downto 0).
Method Description
Page view 334
1 2 ... 330 331 332 333 334 335 336 337 338 339 340 ... 409 410

Comments to this Manuals

No comments